CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog svpwm

搜索资源列表

  1. SPWM

    1下载:
  2. VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:7312
    • 提供者:zyb
  1. svpwm-programm-important

    1下载:
  2. SVPWM的英文程序,包括前期的详细理论分析,最后配有程序。非常好的参考。-SVPWM English procedures, including details of previous theoretical analysis, the last with a program. Very good reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:449244
    • 提供者:
  1. ste_svpwm

    3下载:
  2. 实用Verilog编写的SVPWM程序,产生出SVPWM波形,可用于实现同步电机或者异步电机的空间矢量控制算法。-Practical Verilog of SVPWM written procedures, resulting in the SVPWM waveform can be used to implement the space vector control algorithm of the synchronous motor or induction motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:3335168
    • 提供者:zhouming
  1. zixiechengxu

    2下载:
  2. 用verilog编写的包含有与DSP通信,三电平svpwm实现的程序,-Written in verilog contains communicate with the DSP, three-level svpwm realize the procedures
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:14814208
    • 提供者:wangrui
  1. Svpwmm

    1下载:
  2. Verilog HDL 写的SVPWM 算法的实现,使用的是altera 风暴系列的FPGA,占用资源1w+逻辑宏单元-Verilog HDL ,SVPWM
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-02
    • 文件大小:21296001
    • 提供者:neme
  1. svpwm

    1下载:
  2. SVPWM verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-01
    • 文件大小:14322688
    • 提供者:mohamed
  1. svpwm_full_nios

    1下载:
  2. 实现verilog的svpwm 对于算法开发有很好的帮助。。希望大家多多学习了。(Implementation of verilog svpwm for the development of the algorithm has a very good help. The I hope you learn a lot.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. FPGA_program

    0下载:
  2. 采用verilog实现RTLAB多路驱动程序(Using Verilog to achieve RTLAB multi-channel driver)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:1695744
    • 提供者:annayang1986
  1. Two_Level_SVPWM

    0下载:
  2. 代码为两电平SVPWM调制算法的Verilog程序。包括扇区划分、时间计算、死区控制等。(The code is the Verilog program of the two level SVPWM algorithm. It includes sector division, vecter calculation, dead zone control and so on.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:6145024
    • 提供者:FollowSky
  1. Svpwmm

    2下载:
  2. 用verilog语言对svpwm的仿真出来,可用于交流电机调速(Using Verilog language to simulate SVPWM, it can be used for AC motor speed regulation.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2019-05-22
    • 文件大小:29896704
    • 提供者:曹俊鹏
搜珍网 www.dssz.com